您可以捐助,支持我们的公益事业。

1元 10元 50元





认证码:  验证码,看不清楚?请点击刷新验证码 必填



  求知 文章 文库 Lib 视频 iPerson 课程 认证 咨询 工具 讲座 Model Center   Code  
会员   
   
 
     
   
 订阅
  捐助
modelsim-win64-10.4-se 下载、安装、破解
 
  9472  次浏览      17
 2019-2-26
 
编辑推荐:
本文来自于csdn,本文主要包括modelsim-win64-10.4-se的软件下载、破解文件下载、安装破解方法,希望对您的学习有所帮助。

modelsim-win64-10.4-se 下载、安装、破解

本教程包括软件下载、破解文件下载、安装破解方法,助你一次成功。

软件安装好了却不能用,想必大家都有过这样的痛苦和无奈。这款软件的破解花了我整整一个下午的时间,期间在网上找了各种方法尝试均以失败告终,差点让我放弃破解而着手去换操作系统。网上的方法多存在着疏漏和差错,所以这也是我写次教程的初衷,希望能帮到大家,少走弯路。

本人使用系统声明:win8 64位专业版 以及 win10 64位 安装成功

过程如下:

链接:http://pan.baidu.com/s/1c1RSW4C 密码:kzlp

1.运行modelsim-win64-10.4-se.exe,安装软件;

注意事项:安装路径可自行设置,但不要出现汉字,(本例:D:\work\modelsim)

2.安装过程中一直选择yes即可,最后reboot(重启)询问选Yes、No似乎都可以,我在安装的过程中选择的是No,即我没有重启系统;

3.将解压的破解文件(MentorKG.exe和patch_dll.bat)复制到安装目录下的win64文件夹中。

(本例:D:\work\modelsim\win64文件夹中);

4.进入安装目录下的win64 文件夹找到mgls.dll mgls64.dll两个文件,去掉 只读属性;

5.运行patch_dll.bat

稍等一段时间后即可生成一个TXT文本,将其另存为LICENSE.TXT,另存路径选择你安装目录的win64文件夹下;

(本例:D:\work\modelsim\win64文件夹中)

6.恢复mgls.dll和mgls64.dll两个文件的只读属性;

7.环境变量设置:

win10(win8中同样有效)中是这样的 这台电脑》右键选择【属性】》【高级系统设置】》【环境变量】点击【新建】打开编辑对话框,【变量名】命名为MGLS_LICENSE_FILE,【变量值】为你LICENSE.TXT的文件路径,

(本例变量值 D:\work\modelsim\win64\LICENSE.TXT)

在win10(win8)环境变量页面有用户变量和系统变量两个环境变量,我是选择两个都设置了。

破解完毕,祝你成功!

vivado与modelsim的联合仿真

vivado软件中也自带仿真工具,但用了几天之后感觉仿真速度有点慢,至少比modelsim慢挺多的。而modelsim是我比较熟悉的一款仿真软件,固然选它作为设计功能的验证。为了将vivado和modelsim关联,需要进行一些设置,下面一一介绍。

一、在vivado中设置modelsim(即第三方仿真工具)的安装路径。在vivado菜单中选择“Tools”——>“Options...”,选择“General”选项卡,将滚动条拉倒最底部,在“QuestaSim/ModelSim install path”栏中输入或选择modelsim工具的安装路径,如图1所示。

图1 设置modelsim的安装路径

二、器件库编译。首先,在modelsim安装路径中新建一个名为vivado2014_lib的文件夹(路径和文件名可改),如图2所示。

图2 在modelsim安装路径下新建vivado2014_lib文件夹

接着选择vivado菜单“Tools”——>“Compile Simulation Libraries...”命令,如图3所示。

图3 选择“Compile Simulation Libraries...”命令

在弹出的对话框中设置器件库编译参数,仿真工具“Simulator”选为ModelSim,语言“Language”、库“Library”、器件家族“Family”都为默认设置All(当然也可以根据自己的需求进行设置),然后在“Compiled library location”栏设置编译器件库的路径,这里选前面新建的vivado2014_lib文件夹,此外在“Simulator executable path”栏设置modelsim执行文件的路径,其他参数默认,如图4所示。

图4 设置器件库编译参数

设置好参数后点击“Compile”按钮开始器件库的编译。图5所示为正在编译器件库的过程中。器件库编译结束后给出编译报告,从报告中看出0个警告和0个错误,如图6所示。

图5 正在编译器件库的过程中

图6 器件库编译结束后产生编译报告

打开modelsim安装路径下的vivado2014_lib文件夹,便可以看到已经产生了器件库,如图7所示。

图7 已在vivado2014_lib文件夹中生成器件库

三、在vivado中关联了modelsim软件和编译器件库之后,就可以在vivado中调用modelsim软件对设计进行仿真了。不过,在对每一个新建的工程设计进行仿真时需要进行一些设置。选择vivado菜单“Flow”——>“Simulation Settings...”命令或点击流程向导中选择“Simulation Settings...”命令,分别如图8和图9所示。

图8 从菜单选择“Simulation Settings...”命令

图9 从流程向导中选择“Simulation Settings...”命令

在弹出的对话框中,设置仿真工具为modelsim、仿真语言为verilog或VHDL或混合,当设计中用到vivado中自带的仿真工具时,还要指定器件库的路径,如图10所示。关于仿真的其他参数在这里就不作介绍了。

图10 设置仿真参数

设置好仿真参数后,如果设计文件和仿真文件也准备好,那么就可以开始对设计的功能进行仿真了。选择菜单“Flow”——>“Run Simulation”——>选相应的仿真类型或点击流程向导中的“Run Simulation”——>选相应的仿真类型进行仿真,如图11所示。

图11 选择相应的仿真类型进行仿真

 
   
9472 次浏览       17
 
相关文章

云计算的架构
对云计算服务模型
云计算核心技术剖析
了解云计算的漏洞
 
相关文档

云计算简介
云计算简介与云安全
下一代网络计算--云计算
软浅析云计算
 
相关课程

云计算原理与应用
云计算应用与开发
CMMI体系与实践
基于CMMI标准的软件质量保证